Elektronika.lt
 2024 m. balandžio 26 d. Projektas | Reklama | Žinokite | Klausimai | Prisidėkite | Atsiliepimai | Kontaktai
Paieška portale
EN Facebook RSS

 Kas naujo  Katalogas  Parduotuvės  Forumas  Tinklaraščiai
 Pirmas puslapisSąrašas
 NaujienosSąrašas
 StraipsniaiSąrašas
 Vaizdo siužetaiSąrašas
 Nuolaidos, akcijosSąrašas
 Produktų apžvalgosSąrašas
 Naudingi patarimaiSąrašas
 Vykdomi projektaiSąrašas
 Schemų archyvasSąrašas
 - Garso technika
 - Automobilių elektronika
 - Buitinė elektronika
 - Kompiuterių elektronika
 - Maitinimo šaltiniai
 - Mikrovaldikliai
 - Radiotechnika
 - Šviesos efektai
 - Įvairi elektronika
 Teorija, žinynaiSąrašas
 Nuorodų katalogai
 Įvairūs siuntiniai
 Bendravimas
 Skelbimai ir pasiūlymai
 Elektronikos remontas
 Robotų kūrėjų klubas
 RTN žurnalo archyvas






 Verta paskaityti
Balandžio 25 d. 20:47
Nuo asfalto nulipti drąsinantis elektrinis SUV: kaip gamintojai dėlioja šį pasjansą?
Balandžio 25 d. 18:22
Kosmoso agentūrai NASA Lietuvoje sukurtas palydovas pasiekė orbitą – testuos saulės bures
Balandžio 25 d. 16:36
AOC dovana turinio kūrėjams – tobula drobė tikroms spalvoms „Graphic Pro U3 Series“
Balandžio 25 d. 14:36
Gegužę – svarbūs pokyčiai tūkstančiams „Shopify“ vartotojų (1)
Balandžio 25 d. 12:27
Grėsmės vaikų mobiliuosiuose telefonuose: ką apie tai galvoja tėvai?
Balandžio 25 d. 10:41
Išmanioji buitinė technika: kaip ji gali padėti sumažinti elektros sąskaitą?
Balandžio 25 d. 08:32
HMD pristatė tris atnaujintus „Nokia“ mygtukinius telefonus
Balandžio 24 d. 20:16
Kaip išjungti ar perkrauti visų modelių „iPhone“
Balandžio 24 d. 17:16
Nuo blynus kepančių robotų iki miesto priežiūros technologijų – „Tech_Champ 2024“ hakatone komandos kūrė naujoves
Balandžio 24 d. 14:32
Pristatytas 816 AG „Mercedes-AMG GT 63 S E PERFORMANCE“ kupė
FS 22 Tractors
Farming Simulator 19 Mods, FS 22 Maps, FS22 Mods
ETS2 Mods
ETS2 Trucks, ETS2 Bus, Euro Truck Simulator 2 Mods
FS22 Tractors
Farming Simulator 22 Mods, FS22 Maps, FS22 Trucks
VAT calculator
VAT number check, What is VAT, How much is VAT
Paskola internetu
Vartojimo paskola, paskola automobiliui, paskola būsto remontui
Thermal monocular
Thermal vision camera,
Night vision ar scope,
Night vision spotting scope
FS22 Mods
FS22 Harvesters, FS22 Tractors Mods, FS22 Maps Mods
FS22 Mods
FS22 Maps,
FS22 Harvesters,
FS22 Tractors
Dantų protezavimas
All on 4 implantai,
Endodontija mikroskopu,
Dantų implantacija
Sims 4 Mods
Sims 4 CC Clothes,
Sims 4 Hair CC,
Sims 4 Skill Cheat
Optic sight
Binoculars for hunting elk,
Best compact binoculars,
Riflescope hunting
Reklama
 Schemų archyvas » Mikrovaldikliai Dalintis | Spausdinti

Pirmi žingsniai – „Atmel AVR“

Publikuota: 2004-04-03 16:28
Tematika: Mikrovaldikliai
Tipas: Paprasta
Autorius: el. paštas Darius Grigaitis
Aut. teisės: el. paštas ©Elektronika.lt

Čia aprašomi pirmi žingsniai programuojant AVR mikrovaldiklius, tam tereikia sulituoti pateiktą schemą ir pradėti programuoti.

 Rodyti komentarus (16)
Įvertinimas:  1 2 3 4 5 

Įvadas

Čia aprašomi pirmi žingsniai programuojant AVR mikrovaldiklius, tam tereikia sulituoti pateiktą schemą ir pradėti programuoti. Programavimui gali būti naudojamas „PonyProg“ programatorius.

Schemai reikalingi šviesos diodai, pas save naudojau 3 mm raudonos spalvos. Iš principo, galima naudoti bet kokius diodus. Tada reikia apskaičiuoti varžų reikšmes prie tiems diodams leistinų srovių. „Atmel“ kompanijos AVR mikrovaldiklis AT90S2313, bet vietoj jo galima pritaikyti bet kokį AVR tipo mikrovaldiklį.

Programavimo pradžia

Programas mikrovaldikliui rašysime su „IAR Embedded Workbench“, 30 dienų veikiančią versiją galite parsisiųsti iš gamintojo puslapio. Parsisiuntus ir įdiegus programą bei ją paleidus, matosi pagrindinis langas. Tada File meniu New (arba Ctrl+N), sukuriam darbo langą:

Pasirenkame vieną iš meniu punktų Source/Text:

Atsidariusį langą išsaugojam norimu vardu su .cpp plėtiniu. Pakartoję tuos pačius žingsnius sukuriame nauja projektą. Pasirinkus „Project“ punktą, pasirodo projekto sukūrimo langas:

Atitinkamu vardu išsaugojame projektą pasirinktame kataloge. Geriausia, kad jūsų *.cpp laikmena būtų tame pačiame kataloge, kaip ir sukurtas projektas. Po projekto išsaugojimo reikia pereiti prie meniu punkto Projects, toliau Files:

Pažymėję prieš tai išsaugotą laikmeną, skirta programos kodui su .cpp plėtiniu, paspaudžiame Add mygtuką. Po tokių veiksmų reikia nustatyti programos parametrus pagal jūsų turimą mikrovaldiklį. Parametrai nustatomi pasirinkus meniu Projects, Options punktą:

Pasirenkame procesoriaus tipą. Šiuo atveju parenkamas AT90S2313. Taip pat nustatome laikmenos pavadinimą, kuriuo bus išsaugojamas sukompiliuotas valdikliui programos kodas. Taip pat nustatome saugojimo formatą Intel-standart.

Kas antro šviesos diodo įjungimas

Dabar jau esame pasiruošę programuoti. Pabandykime įjungti kas antrą šviesos diodą:


// Reikalingas „Includas“, aprašantis pasirinktą 8 bitų AVR mikrovaldiklį
#include "io2313.h" 

void main() // Prasideda pagrindinė procedūra
{ 
	
	// Tai yra registras, nustatantis duomenų kryptį
	// tai reikalinga diodams įjungti,
	// 255 atitinka dvejetainį 1111 1111.
	DDRB = 255; 
	
	// Nurodom, kuriuos diodus įjungti,
	// 170 atitinka dvejetainį 1010 1010.
	PORTB=170; 
	
	// Amžinas ciklas, reikalingas, kad procesorius tik
	// vieną kartą atliktų duomenų siuntimą į portą B.
	while(1) 
	{ }

}

Sukompiliavus ir iš //Banadom/Debug/Exe/bandymas.a90 katalogo laikmeną įrašius į mikrovaldiklį, gauname tokį rezultatą, padavus valdikliui maitinimą:

Kaip matyti iš paveiksliuko, pagal tai, kas buvo įrašyta į PORTB registrą, atitinkamai įsijungia šviesos diodai.

Vėlinimo funkcija

Jei su šviesos diodų įjungimu viskas tvarkoje, tada galime padaryti, kad jie mirksėtų, tam reikės pasidaryti laiko vėlinimą. Kadangi kvarcinio rezonatoriaus dažnis yra 4 MHz, tai norint pamatyti mirksėjimą, prireiks vėlinimo funkcijos.


#include "io2313.h" 

void Laikas();

void main() // Prasideda pagrindinė procedūra
{ 

	// Tai yra registras, nustatantis duomenų kryptį
	// tai reikalinga diodams įjungti,
	// 255 atitinka dvejetainį 1111 1111.
	DDRB = 255; 
	
	// Amžiną ciklą naudosim diodų junginėjimui
	while(1){
	
		// Įjungiami visi diodai
		PORTB=255; 
		
		// Kreipiamės į vėlinimo funkciją 
		// užlaikome įjungtus šviesos diodus 
		Laikas(); 
		
		// išjungiame visus šviesos diodus
		PORTB=0; 
		
		// Kreipiamės į vėlinimo funkciją 
		// užlaikome išjungtus šviesos diodus
		Laikas(); 
		
	}

}

void Laikas() // vėlinimo funkcijos pradžia
{
	// Tuščio ciklo vykdymas 100 000 kartų
	long i=0; for (i=0; i<100000; i++){} 

}

Sukompiliavus ir iš //Banadom/Debug/Exe/bandymas.a90 katalogo laikmeną įrašius į mikrovaldiklį, gauname tokį rezultatą, padavus mikrovaldikliui maitinimą:

Bėganti eilutė

Bėganti eilutė padaroma tokiu pačiu principu, kaip prieš tai pateiktame pavyzdyje. Tik atitinkamai siunčiami į PORTB registrą reikiami skaičiai:


#include "io2313.h" 

void Laikas();

// Prasideda pagrindinė procedūra
void main() 
{ 
	// Tai yra registras, nustatantis duomenų kryptį
	// tai reikalinga diodams įjungti,
	// 255 atitinka dvejetainį 1111 1111.	
	DDRB = 255; 
	
	// Amžiną ciklą naudosim diodų junginėjimui
	while(1) 
	{
		
		// Diodų įjunginėjimas į vieną pusę
		PORTB=0; 
		Laikas();
		PORTB=1;
		Laikas();
		PORTB=2;
		Laikas();
		PORTB=4;
		Laikas();
		PORTB=8;
		Laikas();
		PORTB=16;
		Laikas();
		PORTB=32;
		Laikas();
		PORTB=64;
		Laikas();
		PORTB=128;
		Laikas();
		//----------------------
		PORTB=0; // Diodų įjunginėjimas į priešingą pusę
		Laikas();
		PORTB=128;
		Laikas();
		PORTB=64;
		Laikas();
		PORTB=32;
		Laikas();
		PORTB=16;
		Laikas();
		PORTB=8;
		Laikas();
		PORTB=4;
		Laikas();
		PORTB=2;
		Laikas();
		PORTB=1;
		Laikas();
		PORTB=0;
		Laikas();
	
	}

}

// vėlinimo funkcijos pradžia
void Laikas() 
{
	long i=0;
	
	// Tuščio ciklo vykdymas 100 000 kartų
	for (i=0; i<10000; i++){} 

}

Sukompiliavus ir iš //Banadom/Debug/Exe/bandymas.a90 katalogo laikmeną įrašius į mikrovaldiklį, gauname tokį rezultatą, padavus valdikliui maitinimą:

Keičiant siunčiamus į portą skaičius, galima keisti diodų įjungimo algoritmą. Bėgančią eilutę taip pat galima padaryti naudojant ciklą ir dalinimą bei dauginimą iš 2. Tokiu atveju kodas gaunasi trumpesnis.

Tai tiek pradžiai, tikiuosi šis aprašymas duos kam nors naudos.




Draudžiama platinti, skelbti, kopijuoti
informaciją su nurodyta autoriaus teisių žyma be redakcijos sutikimo.

Global electronic components distributor – Allicdata Electronics

Electronic component supply – „Eurodis Electronics“

LOKMITA – įvairi matavimo, testavimo, analizės ir litavimo produkcija

Full feature custom PCB prototype service

GENERAL FINANCING BANKAS

Mokslo festivalis „Erdvėlaivis Žemė

LTV.LT - lietuviškų tinklalapių vitrina

„Konstanta 42“

Technologijos.lt

Buitinė technika ir elektronika internetu žemos kainos – Zuza.lt

www.esaugumas.lt – apsaugok savo kompiuterį!

PriedaiMobiliems.lt – telefonų priedai ir aksesuarai

„Deinavos baldai“ — šeimos baldai


Reklama
‡ 1999–2024 © Elektronika.lt | Autoriaus teisės | Privatumo politika | Atsakomybės ribojimas | Reklama | Turinys | Kontaktai LTV.LT - lietuviškų tinklalapių vitrina Valid XHTML 1.0!
Script hook v, Openiv, Menyoo
gta5mod.net
Farming Simulator 2019 Mods, FS22 Mods, FS22 Maps
farmingsimulator19mods.fr
Optical filters, UV optics, electro optical crystals
www.eksmaoptics.com
Reklamos paslaugos
SEO sprendimai

www.addad.lt
Elektroninių parduotuvių optimizavimas „Google“ paieškos sistemai
www.seospiders.lt
FS22 mods, Farming simulator 22 mods,
FS22 maps

fs22.com
Reklama


Reklama